All

What are you looking for?

All
Projects
Results
Organizations

Quick search

  • Projects supported by TA ČR
  • Excellent projects
  • Projects with the highest public support
  • Current projects

Smart search

  • That is how I find a specific +word
  • That is how I leave the -word out of the results
  • “That is how I can find the whole phrase”

Spectroscopic elipsometry and ellipsometric porosimetry studies of CVD low-k dielectric films

The result's identifiers

  • Result code in IS VaVaI

    <a href="https://www.isvavai.cz/riv?ss=detail&h=RIV%2F00216224%3A14310%2F08%3A00026014" target="_blank" >RIV/00216224:14310/08:00026014 - isvavai.cz</a>

  • Result on the web

  • DOI - Digital Object Identifier

Alternative languages

  • Result language

    angličtina

  • Original language name

    Spectroscopic elipsometry and ellipsometric porosimetry studies of CVD low-k dielectric films

  • Original language description

    Aurora ELK, a porous low-k SiCOH film deposited by CVD, was used to study the effect of UV curing. Samples were cured for various curing times and the purpose of this work is to observe the effects of UV curing on optical, mechanical and structural properties of the low-k film. We have used 1) ellipsometric porosimetry to determine the porosity and the pore-size distribution and 2) nitrogen purged UV ellipsometry in a range from 2 to 9 eV to observe the changes of the di-electric function of the cured material. Additional FTIR and laser-induced surface acoustic wave measurements were performed. The porogen removal and the increase of porosity were observed, and a k-value of 2.3 was reached for the optimum curing time. However for longer curing times, densification and reduction of the porosity occurs. The growth of the hydrogen incorporation has been observed.

  • Czech name

    Spektroelipsometrická a porosimetrická studie CVD low-k dielektrických filmů

  • Czech description

    Aurora ELK, a porous low-k SiCOH film deposited by CVD, was used to study the effect of UV curing. Samples were cured for various curing times and the purpose of this work is to observe the effects of UV curing on optical, mechanical and structural properties of the low-k film. We have used 1) ellipsometric porosimetry to determine the porosity and the pore-size distribution and 2) nitrogen purged UV ellipsometry in a range from 2 to 9 eV to observe the changes of the di-electric function of the cured material. Additional FTIR and laser-induced surface acoustic wave measurements were performed. The porogen removal and the increase of porosity were observed, and a k-value of 2.3 was reached for the optimum curing time. However for longer curing times, densification and reduction of the porosity occurs. The growth of the hydrogen incorporation has been observed.

Classification

  • Type

    J<sub>x</sub> - Unclassified - Peer-reviewed scientific article (Jimp, Jsc and Jost)

  • CEP classification

    BM - Solid-state physics and magnetism

  • OECD FORD branch

Result continuities

  • Project

  • Continuities

    Z - Vyzkumny zamer (s odkazem do CEZ)

Others

  • Publication year

    2008

  • Confidentiality

    S - Úplné a pravdivé údaje o projektu nepodléhají ochraně podle zvláštních právních předpisů

Data specific for result type

  • Name of the periodical

    physica status solidi (c)

  • ISSN

    1610-1634

  • e-ISSN

  • Volume of the periodical

    5

  • Issue of the periodical within the volume

    5

  • Country of publishing house

    BE - BELGIUM

  • Number of pages

    4

  • Pages from-to

  • UT code for WoS article

  • EID of the result in the Scopus database