Vše

Co hledáte?

Vše
Projekty
Výsledky výzkumu
Subjekty

Rychlé hledání

  • Projekty podpořené TA ČR
  • Významné projekty
  • Projekty s nejvyšší státní podporou
  • Aktuálně běžící projekty

Chytré vyhledávání

  • Takto najdu konkrétní +slovo
  • Takto z výsledků -slovo zcela vynechám
  • “Takto můžu najít celou frázi”

Study application CPLDs as stepper Motor Controllers

Identifikátory výsledku

  • Kód výsledku v IS VaVaI

    <a href="https://www.isvavai.cz/riv?ss=detail&h=RIV%2F00216305%3A26220%2F11%3APU93391" target="_blank" >RIV/00216305:26220/11:PU93391 - isvavai.cz</a>

  • Výsledek na webu

  • DOI - Digital Object Identifier

Alternativní jazyky

  • Jazyk výsledku

    angličtina

  • Název v původním jazyce

    Study application CPLDs as stepper Motor Controllers

  • Popis výsledku v původním jazyce

    Abstract - in this paper, description of a stepper motor ,the construction of this device and how a stepper motor works , This article describes also the a conventional stepper motor control and the requires of this technology .This paper focuses on thecomplex programmable logic devices (CPLDs) and the construction of this technology(inputs and outputs). But this technology provides I/O less than FPGA technology. the modern control uses CPLDS to drive and control the stepper motors. Within the Xilinx CPLD, four inputs are required to fully control the stepper motor. VHDL is used to write the code of CPLD .We can verify the functionality of our design early in the design flow by simulating the HDL description.

  • Název v anglickém jazyce

    Study application CPLDs as stepper Motor Controllers

  • Popis výsledku anglicky

    Abstract - in this paper, description of a stepper motor ,the construction of this device and how a stepper motor works , This article describes also the a conventional stepper motor control and the requires of this technology .This paper focuses on thecomplex programmable logic devices (CPLDs) and the construction of this technology(inputs and outputs). But this technology provides I/O less than FPGA technology. the modern control uses CPLDS to drive and control the stepper motors. Within the Xilinx CPLD, four inputs are required to fully control the stepper motor. VHDL is used to write the code of CPLD .We can verify the functionality of our design early in the design flow by simulating the HDL description.

Klasifikace

  • Druh

    D - Stať ve sborníku

  • CEP obor

    JA - Elektronika a optoelektronika, elektrotechnika

  • OECD FORD obor

Návaznosti výsledku

  • Projekt

  • Návaznosti

    S - Specificky vyzkum na vysokych skolach

Ostatní

  • Rok uplatnění

    2011

  • Kód důvěrnosti údajů

    S - Úplné a pravdivé údaje o projektu nepodléhají ochraně podle zvláštních právních předpisů

Údaje specifické pro druh výsledku

  • Název statě ve sborníku

    Proceedings of 9th International Conference VSACKÝ CÁB 2011

  • ISBN

    978-80-214-4319-8

  • ISSN

  • e-ISSN

  • Počet stran výsledku

    4

  • Strana od-do

    5-9

  • Název nakladatele

    Brno University of Technology

  • Místo vydání

    Vsetín

  • Místo konání akce

    Vsetín

  • Datum konání akce

    29. 8. 2011

  • Typ akce podle státní příslušnosti

    WRD - Celosvětová akce

  • Kód UT WoS článku