Vše

Co hledáte?

Vše
Projekty
Výsledky výzkumu
Subjekty

Rychlé hledání

  • Projekty podpořené TA ČR
  • Významné projekty
  • Projekty s nejvyšší státní podporou
  • Aktuálně běžící projekty

Chytré vyhledávání

  • Takto najdu konkrétní +slovo
  • Takto z výsledků -slovo zcela vynechám
  • “Takto můžu najít celou frázi”

Spektroelipsometrická a porosimetrická studie CVD low-k dielektrických filmů

Identifikátory výsledku

  • Kód výsledku v IS VaVaI

    <a href="https://www.isvavai.cz/riv?ss=detail&h=RIV%2F00216224%3A14310%2F08%3A00026014" target="_blank" >RIV/00216224:14310/08:00026014 - isvavai.cz</a>

  • Výsledek na webu

  • DOI - Digital Object Identifier

Alternativní jazyky

  • Jazyk výsledku

    angličtina

  • Název v původním jazyce

    Spectroscopic elipsometry and ellipsometric porosimetry studies of CVD low-k dielectric films

  • Popis výsledku v původním jazyce

    Aurora ELK, a porous low-k SiCOH film deposited by CVD, was used to study the effect of UV curing. Samples were cured for various curing times and the purpose of this work is to observe the effects of UV curing on optical, mechanical and structural properties of the low-k film. We have used 1) ellipsometric porosimetry to determine the porosity and the pore-size distribution and 2) nitrogen purged UV ellipsometry in a range from 2 to 9 eV to observe the changes of the di-electric function of the cured material. Additional FTIR and laser-induced surface acoustic wave measurements were performed. The porogen removal and the increase of porosity were observed, and a k-value of 2.3 was reached for the optimum curing time. However for longer curing times, densification and reduction of the porosity occurs. The growth of the hydrogen incorporation has been observed.

  • Název v anglickém jazyce

    Spectroscopic elipsometry and ellipsometric porosimetry studies of CVD low-k dielectric films

  • Popis výsledku anglicky

    Aurora ELK, a porous low-k SiCOH film deposited by CVD, was used to study the effect of UV curing. Samples were cured for various curing times and the purpose of this work is to observe the effects of UV curing on optical, mechanical and structural properties of the low-k film. We have used 1) ellipsometric porosimetry to determine the porosity and the pore-size distribution and 2) nitrogen purged UV ellipsometry in a range from 2 to 9 eV to observe the changes of the di-electric function of the cured material. Additional FTIR and laser-induced surface acoustic wave measurements were performed. The porogen removal and the increase of porosity were observed, and a k-value of 2.3 was reached for the optimum curing time. However for longer curing times, densification and reduction of the porosity occurs. The growth of the hydrogen incorporation has been observed.

Klasifikace

  • Druh

    J<sub>x</sub> - Nezařazeno - Článek v odborném periodiku (Jimp, Jsc a Jost)

  • CEP obor

    BM - Fyzika pevných látek a magnetismus

  • OECD FORD obor

Návaznosti výsledku

  • Projekt

  • Návaznosti

    Z - Vyzkumny zamer (s odkazem do CEZ)

Ostatní

  • Rok uplatnění

    2008

  • Kód důvěrnosti údajů

    S - Úplné a pravdivé údaje o projektu nepodléhají ochraně podle zvláštních právních předpisů

Údaje specifické pro druh výsledku

  • Název periodika

    physica status solidi (c)

  • ISSN

    1610-1634

  • e-ISSN

  • Svazek periodika

    5

  • Číslo periodika v rámci svazku

    5

  • Stát vydavatele periodika

    BE - Belgické království

  • Počet stran výsledku

    4

  • Strana od-do

  • Kód UT WoS článku

  • EID výsledku v databázi Scopus